Vhdl, Electrical Engineering

Assignment Help:
Im doing my final year project and Im stuck in vhdl coding. The main mission of this project is to design and build a tap changer which is going to be fitted to power transformers for regulation of the output voltage to required levels for the Micro Grid.
The tap changer system will consist of 9 changers with a 4v step having 9 switches/ Relays. 5 relays will be in the first stage, second stage consist of 3 relays, third stage has got 2 relays and the final stage has 1 relay. The voltage range of the tap changer 399- 431, Tap changer will perform step-up or step-down duties depending on what is requires. ( Tap1-399volts, Tap2 403volts, Tap3 407volts, Tap4 411volts, Tap5 415volts, Tap6 419volts, Tap7 423volts, Tap8 427volts, Tap9 2311volts. )
Im using vhdl programme to control the switches( switch1 to switch 9) using Spartan 3 board and displaying the selected switch on the board. I have written a bit of the the code which is at the bottom and im completely stuck I just need help in finishing the code and have attached the You are my last hope .

use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity UPDOWNCOUNTERHOLD is
Port ( Clock : in STD_LOGIC;
Reset : in STD_LOGIC;
-- Automatic : in STD_LOGIC;--- AUTOMATIC SELECTION
Tap_set : in STD_LOGIC;--- ACTIVATES THE TAP SELECTED
SW : in STD_LOGIC_VECTOR (8 downto 0);---- switch for Tap 1 up to 9
--SSG_input : in std_logic_vector(3 downto 0); -- input to seven segment display
Tap_Output: out STD_LOGIC_VECTOR (8 downto 0);-- Tap output
SSG_out : out STD_LOGIC_VECTOR (6 downto 0);--- SEVEN SEGMENT OUTPUT DISPLAY
AN0 : out STD_LoGIC);
end UPDOWNCOUNTERHOLD;

architecture Behavioral of UPDOWNCOUNTERHOLD is

Constant Max_tap :integer := 9;-- referance for the switches
signal Max_tap_vector:std_logic_vector(3 downto 0);
Signal Auto :STD_LOGIC;---- signal for Automatic
Signal Tap_select :STD_LOGIC;-----signal for Tap_set
Signal Switch :std_logic_vector(3 downto 0);----- signal for SW
Signal Tap_out :std_logic_vector(3 downto 0);----- signal for Tap_Output
Signal Seven_segment :std_logic_vector(6 downto 0);----- signal for the seven segment display
SIGNAL S_SW :std_logic_vector(3 DOWNTO 0);----- SIGANAL FOR SWITCH IN MAUNAL/TAP SELECT
BEGIN
PROCESS(Clock,Reset,Tap_set)

BEGIN

IF (Reset = ''1'') THEN

Tap_out <= "0001"; -- reset to tap 1
Seven_segment <= "1001111" ;-- reset it to display tap 1

ELSIF (rising_edge(Clock)) THEN

IF (Tap_set = ''1'') THEN

Tap_Out<= Switch; -- running on manual output depends on the tap switch which is on

end if;

IF (Tap_out > Max_tap) THEN ---- If the output is more than 9 reset

Tap_Out<= "0001"; --- reset to tap 1
Seven_segment <= "1001111" ;-- reset it to display tap 1

IF (conv_integer(Max_tap)) = Max_tap_vector then

--IF (conv_integer(Max_tap_vector)) = Max_tap then------converting interger
--OTHER_VECTOR<=(others =>''0'');
END IF;
END IF;
End if;
END PROCESS;
PROCESS(SW,Clock)
Begin
--S_SW <= SW(3 DOWNTO 0) ;
case SW is
when "0001"=>SSG_out<= "1001111";
when "0010"=>SSG_out<= "0010010";
when "0011"=>SSG_out<= "0000110";
when "0100"=>SSG_out<= "1001100";
when "0101"=>SSG_out<= "0100100";
when "0110"=>SSG_out<= "0100000";
when "0111"=>SSG_out<= "0001111";
when "1000"=>SSG_out<= "0000000";
when "1001"=>SSG_out<= "0000100";
--nothing is displayed when a number more than 9 is given as input.
when others =>SSG_out<="1111111" ;
end case ;
END PROCESS;
end Behavioral;
?

Related Discussions:- Vhdl

Calculate the current flow through rl, Using star-delta transformation, cal...

Using star-delta transformation, calculate the current flow through RL.

3-phase bridge inverter, 3-phase  Bridge Inverter In 3-phase bridge in...

3-phase  Bridge Inverter In 3-phase bridge inverter six thyristors an six diodes are used as shown in figure  a capacitor at input terminal is connected so that the input volta

Show the classifications of data network, Q. Show the Classifications of Da...

Q. Show the Classifications of Data Network? Classifications of Data Network: Data Networks are categorized according to their geographical coverage: • Wide area networks

What is extended data output ram, What is extended data output RAM? EDO...

What is extended data output RAM? EDO RAM: A slight modification to the DRAM structure changes the device in an EDO (extended data output) DRAM device. Inside the EDO memory, a

Temperature triggering , Temperature Triggering At high temperature th...

Temperature Triggering At high temperature the leakage current  of junction J 2 increases. This leakage  current is collector  current of tr 1 and Tr 2 . So rise in temperat

What is meant by thermal runaway, Q. What is meant by Thermal Runaway? Expl...

Q. What is meant by Thermal Runaway? Explain with reference to a transistor.How is it avoided in circuits? The collector current of a transistor increases with increasing tempe

Binary codes, what are the types of binary codes

what are the types of binary codes

Evaluate hysteresis loss, Q. A magnetic circuit is found to have an ac hyst...

Q. A magnetic circuit is found to have an ac hysteresis loss of 10 W when the peak current is Im = 2 A. Assuming the exponent of Bm to be 1.5, estimate Ph for Im = 0.5A and 8A.

Determine average of integers by assembly language program, Write an assemb...

Write an assembly language program to determine average of 'n' integers. Ans  MOV AX, 0000; Initial sum 0000  MOV BX, 0000  MOV SI, 0201H  MOV CX, [SI]  BACK: I

Electromagnetic Computational (MATLAB), Hey Dear i have a hw in MATLAB by a...

Hey Dear i have a hw in MATLAB by applying Finite Element Method there(Electromagnetic) and i need your help with it. please take alook at the question and let me know if you can h

Write Your Message!

Captcha
Free Assignment Quote

Assured A++ Grade

Get guaranteed satisfaction & time on delivery in every assignment order you paid with us! We ensure premium quality solution document along with free turntin report!

All rights reserved! Copyrights ©2019-2020 ExpertsMind IT Educational Pvt Ltd