Complete the truth table and circuit sketch for a decoder

Assignment Help Other Engineering
Reference no: EM131416861

Assignment: VHDL Design, Muxes, and Decoders Name:

Designing with VHDL

Please show your work and for each problem, list all references used or students consulted

1. (Adapted from Problem 6 of Digilent Real Digital Exercise 4)

Five judges are scoring a particular event, and they need a device to help them decide how well the event is received. Each judge has a single switch that they can use to enter a "like" or "dislike" vote (assume a switch outputs a ‘1' for "like").

a) Find the Boolean expression for a circuit that can indicate a "majority like" of 3 or more "good" votes. This Boolean expression does not need to be minimized. You can assume the judges' inputs are A, B, C, D, and E.

b) Use VHDL to define this circuit:

2. Complete the truth table and circuit sketch for a 4-to-1 mux.

S1

S0

Y

 

 

 

 

 

 

 

 

 

 

 

 

2023_Circuit Sketch.jpg

3. Use a VHDL when or with-select statement to implement the 4-to-1 mux from question 2. Assume a 4-bit bus "I" and a 2-bit bus "S" are used as the inputs and "Y" is the output signal.

4. Suppose an enable input is added to the 4-to-1 mux from question 2. The enable input operates in the following manner: if enable = ‘1', then the mux will operate normally, otherwise, if enable = ‘0', then the output Y will be ‘0'. How must you change the schematic in order to correctly add and implement this enable signal? Please explain.

5. Complete the truth table and circuit sketch for a 3-to-8 decoder.

S2

S1

S0

Y7

Y6

Y5

Y4

Y3

Y2

Y1

Y0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2285_Circuit Sketch1.jpg

6. Use a VHDL when or with-select statement to implement the 3-to-8 decoder from question 5. Assume a 3-bit bus "S" is used as the input and an 8-bit bus "Y" is used as the output.

7. Suppose an enable input is added to the 3-to-8 decoder from question 5. The enable input operates in the following manner: if enable = ‘1', then the decoder will operate normally, otherwise, if enable = ‘0', then all outputs Y0-Y7 will be ‘0'. How must you change the schematic in order to correctly add and implement this enable signal? Please explain.

Reference no: EM131416861

Questions Cloud

Which strategy did you think was more effective and why : Find 2 peer-reviewed articles from academic journals on information technology sourcing then.Summarize each article and compare and contrast the sourcing approaches in each article.Identify the factors that were important in each sourcing strategy a..
Calculate the standard deviation of sampling distribution : Calculate the mean and the standard deviation of the sampling distribution of possible sample proportions for each combination of sample size (n) and population proportion (p).
Case study of starbucks coffee : Case study of starbucks coffee. What are the objectives ? What the relevant case facts and analysis ? What are the analysis of alternative course of action ?
Essay on the movie - the greatest movie ever sold : Watch this movie "The Greatest Movie Ever Sold", Pay attention to branding. Segmenting and marketing manipulation. You will be writing a 1 page opinion essay on the movie
Complete the truth table and circuit sketch for a decoder : EGCP 281- Complete the truth table and circuit sketch for a 3-to-8 decoder. Use a VHDL when or with-select statement to implement the 3-to-8 decoder from question 5.
Calculate mean and the standard deviation : Calculate the mean and the standard deviation of the sampling distribution of possible sample proportions for each combination of sample size (n) and population proportion (p).
Volvo trucks international division : Case study of " volvo trucks international division " What are their objectives ? What are the relevant case facts and analysis in terms of ; What are the analysis of alternative course of action ? What are the conclusion ?
Create a comparative table that shows the various definition : Create a comparative table that shows the various definitions, risks, and value of each of the following quality management tactics:Establishing customer expectations,Designing quality,Defining metrics,Mistake-proofing,Kaizen,Six Sigma.If there are..
Create business analytics implementation plan : In this assignment, you will create a business analytics implementation plan. The plan will consist of explaining business analytics to management, addressing the advantages and disadvantages of business analytics, Describe the business and provide a..

Reviews

Write a Review

Other Engineering Questions & Answers

  Problems based on nyquist sampling theorem

What is the definition of "Nyquist rate"? What is the "Nyquist sampling theorem"? Plot the signal ??(??) = sin(4????).

  What total load will steel shore be able to carry

What total load will a AS 550 steel shore be able to carry if the outside diameter is 2.5 inches and a wall thickness of 0.154 inches

  Explain friedmans arguments about social responsibility

Explain Friedman's arguments about social responsibility? Compare and contrast Milton Friedman on the social responsibility of business and Michael McFarland on the social responsibility of engineers.

  What is minimum input step size that will ensure triggering

Use capacitors of 0.1 nF and 1 nF. Wherever possible, choose resistors of 100 kΩ in your design. Diodes have a drop of 0.7 V. What is the minimum input step size that will ensure triggering? How long does the circuit take to recover to a state in ..

  Find the three poles and estimate the 3-db frequency fh

Associated with each stage is a parasitic input capacitance (to ground) of 10 pF and a arasitic output capacitance (to ground) of 2 pF. Parasitic capacitances of 10 pF and 7 pF also are associated with the signal-source and load connections, respe..

  To identify how an element functions

The quote will derive from a section of the assigned reading that the student considers interesting, unusual or challenging. In choosing a quote, it is important to consider whether or not a passage is rich enough to enable sustained analysis.

  Produce and complete a gantt chart using ms project software

Produce and complete a Gantt Chart using MS Project software. List the major risk factors for your project and the possible ways to mitigate this risks for your project.

  What does the transfer function become

The high-frequency response of a direct-coupled amplifier having a dc gain of -1000 V/V incorporates zeros at ∞and 104 rad/s (one at each frequency) and poles at 103 rad/s and 105 rad/s (one at each frequency). Write an expression for the amplifier..

  Outline a process to effectively use a use case diagram

Develop a compelling argument on WHY TASER should invest more in the prevention and appraisal - Outline a process to effectively use a Use Case diagram.

  How many mvars of shunt capacitors are required

How many Mvars of shunt capacitors are required to increase the power factor on a 10 MVA load from 0.90- to 0.95 lagging - which requires more reactive power, improving a low power-factor load or a high power-factor load?

  Barker spectrum-convolution

Porblem-1. Barker Spectrum-Derive analytically the spectrum of the Barker code (11 chips)

  Describe how values that were assigned to the output to led

Explain the process you used in this lab to arrive at the final design of both the hardware portion and the software portion to achieve the design objectives.

Free Assignment Quote

Assured A++ Grade

Get guaranteed satisfaction & time on delivery in every assignment order you paid with us! We ensure premium quality solution document along with free turntin report!

All rights reserved! Copyrights ©2019-2020 ExpertsMind IT Educational Pvt Ltd