Explain multiple instruction and single data stream (misd), Computer Engineering

Assignment Help:

Multiple Instruction and Single Data stream (MISD): In this type of organization multiple processing elements are ordered under the control of multiple control units. Every control unit is managing one instruction stream and processed by its consequent processing element. However every processing element is processing just a single data stream at a time. Consequently, for managing multiple instruction streams in addition of single data stream, multiple processing elements and multiple control units are organised in this categorization. All processing elements are interacting with the common mutual memory for the organisation of single data stream as displayed in Figure. The simply known illustration of a computer capable of MISD function is the C.mmp made by Carnegie-Mellon University. 

 This kind of computer organisation is represented as:

Is > 1

Ds = 1

1385_Multiple Instruction and Single Data stream (MISD).png

Figure:  MISD Organisation

This categorization isn't popular in commercial machines as model of single data streams implementing on multiple processors is hardly ever applied. However for the particular applications, MISD organisation can be extremely helpful. E.g. Real time computers should be fault tolerant where various processors execute the similar data for generating the redundant data. This is also termed as N- version programming. All these redundant data are compared as results that must be same; or else faulty entity is replaced. So MISD machines can be applied to fault tolerant real time computers.


Related Discussions:- Explain multiple instruction and single data stream (misd)

Design requirements of combinational logic, What are the requirements to de...

What are the requirements to design Combinational Logic ? Ans . Design Requirements of Combinational Logic:- (i) By the specifications of circuit, we find out the

What are the aspects of security policy, What are the aspects of security p...

What are the aspects of security policy The security policy should cover aspects such as network service access, physical access, limits of acceptable behaviour, company's pro

Determine sky wave communication is prone to fading or not, Sky wave Commun...

Sky wave Communication is prone to fading, it is true or false. Ans: It is true that sky wave Communication is prone to fading.

What is dialog module, What is dialog Module? A dialog Module is a call...

What is dialog Module? A dialog Module is a callable sequence of screens that does not belong to a certain  transaction. Dialog modules have their module pools, and can be know

Syntax of $display and $strobe, Syntax of $display and $strobe -  $disp...

Syntax of $display and $strobe -  $display ("format_string", par_1, par_2, ... ); -  $strobe ("format_string", par_1, par_2, ... ); -  $monitor ("format_string", par_1, p

What is called when distortion caused on telephone line, Distortion caused ...

Distortion caused on telephone line by an adjacent one is called (A) Cross Fire                      (B) Inductive Disturbance (C)  Cross Talk                     (D) Non

Explain about microsoft access, Microsoft access name has been transformed ...

Microsoft access name has been transformed to Microsoft office access. This software incorporates relational database management system which joins GUI (graphical user interface) w

What is cyclomatic complexity, What is cyclomatic complexity? Cyclomati...

What is cyclomatic complexity? Cyclomatic complexity is a computer science metric (measurement) developed by Thomas McCabe used to generally calculate the complexity of a progr

Differentiate between synchronous and asynchronous i/o, Differentiate betwe...

Differentiate between synchronous and asynchronous input/output with the help of an example. The input-output operation is asynchronous input/output operation since after the s

Write your array of text into file, Part I: 1. The program starts by prin...

Part I: 1. The program starts by printing your initial with an end sign ">". For example, "cjx >"; 2. Then, you can type in the following "vi filename". For example, "vi myp.c

Write Your Message!

Captcha
Free Assignment Quote

Assured A++ Grade

Get guaranteed satisfaction & time on delivery in every assignment order you paid with us! We ensure premium quality solution document along with free turntin report!

All rights reserved! Copyrights ©2019-2020 ExpertsMind IT Educational Pvt Ltd