Compare procedure and macro, Electrical Engineering

Assignment Help:

Compare Procedure & Macro 

Accessed by CALL & RET instruction Accessed during assembly with name given during program implementation to macro when defined Machine code for instruction is put only once in the memory Machine code is generated for instruction each time when macro is called With procedures less memory is needed With macro more memory is needed Parameters can be passed in registers, memory locations or stack

Parameters passed as part of statement which calls macro

 


Related Discussions:- Compare procedure and macro

Components of gis - methods, Methods: A successful GIS operates accordi...

Methods: A successful GIS operates according to a well-designed plan and business rules that are the models and operating practices unique to every organization. GIS gives i

Measuring equipment of ac-dc voltage and waveforms, Procedures and methods ...

Procedures and methods of the experiments A).      In order to measure the true RMS values of the currents and voltages, students used the true RMS multimeter. It requires two

Embedded systems design, You have been requested by your Senior Engineer to...

You have been requested by your Senior Engineer to design an Engine Control Unit (ECU) and prepare a report detailing your proposed solution. The client requires a design for a mic

Digital principle, aliasing with message spectrum and two frequency shifted...

aliasing with message spectrum and two frequency shifted replica and remedies to combat aliasing

Carry flag - sub subtract instruction , Carry Flag CY is  reset  as e...

Carry Flag CY is  reset  as explained  above.  ( in chapter 1 we have  sen in the 2 complement  methods of subtraction that  the carry  is set  when the result is positive. He

Sensor selection, Submit a brief report on the selection of two commerciall...

Submit a brief report on the selection of two commercially available sensors for the following: • Research and recommend a sensor for a real full size conveyor system of approxima

Find out control voltage, Consider the network shown in Figure containing a...

Consider the network shown in Figure containing a voltage-controlled source producing the controlled current i c = gv, where g is a constant with units of conductance, and the con

Explain about the typical embedded system hardware unit, Explain about the ...

Explain about the typical embedded system hardware unit. Typical Embedded System Hardware Unit: Program Flow and data path Control Unit (CU): comprises a fetch unit for fetc

Assinment, Ask question #Minimum mechanism of microwave communication 100 w...

Ask question #Minimum mechanism of microwave communication 100 words accepted#

Compare with register, Compare with Register The contents  of the re...

Compare with Register The contents  of the register  are compared with  the contents  of the accumulator  here  register  may be  any one  of the  A, B, C, D E, H, or L  reg

Write Your Message!

Captcha
Free Assignment Quote

Assured A++ Grade

Get guaranteed satisfaction & time on delivery in every assignment order you paid with us! We ensure premium quality solution document along with free turntin report!

All rights reserved! Copyrights ©2019-2020 ExpertsMind IT Educational Pvt Ltd