Implementation of your design in assignments

Assignment Help Other Engineering
Reference no: EM131226555

The design of the processor is also included. language for the processor is in system verilog

- In this assignment you will design the instruction set architecture of a simple microprocessor.

- Assignemt 2 is a group assignment. Each group should consist of two students.

You are welcome to form your own group. It is fine if you prefer to work alone.

- This assignment is due on the Friday of week-9, at 17:00 hrs. Please submit your report via blackboard. Go to the ‘Assessments' folder and then follow the ‘Assignment 2.1 submission portal' link.

In addition, each group is required to submit the hardcopy of the report which should include the following:

- The assessment item cover sheet;

- Description of your design.

The report should be dropped in the ELEC3720 drop-box located in the ground floor of the EA building before the deadline.

- The project report must at least clearly describe the instruction set architecture, and how that architecture is implemented on hardware.

- You will be asked to demonstrate the hardware implementation of your design in Assignments
2.2 and 2.3.

- Note that you are may be required to answer some questions on your design some time during the scheduled lab hours on week 10.Problem.

1. Design the instruction set architecture of a single cycle processor with 18 bit wide instructions, and data-word width of your choice. Show the hardware implementation details of the processor.

In particular, provide the
- Instruction set along with the binary codes
- Instruction encoding/decoding logic
- Discuss various tradeoffs made in your design to optimize the following:
- Instruction coverage
- Dataword width,
- Number of registers,
- Memory adressing scheme (byte or word addressable, base/offset based addressing)
- Memory address and offset range
- Jump offset range
- Branch offset range

- Show the data path needed to implement your design
- Discuss the the control signals and their logic

Notes.
The complexity and the effort needed depends significantly on the data word width of the processor. This the marks automatically depend on the data word width. You should aim for at least 16 bit wide data words.

The utility and efficiency of a processor depends significantly on the number of registers. Hence one prefers to have as many resgisters as possible. However, having more than 32 registers typically slows down a processor due to the increase in the address decoding time.

Similarly, it is desirable to have large memory offset range, jump offset range and branch offset range.

Aim to implement as many instructions from the standard MIPS instruction set as possible, and justify why it is not required/possible to implement more.

Marking criterion.
The mark is allocated as follows:

1. Description of the instruction set

2. Instruction encoding/decoding logic

3. Rationale behind the design and associated design trade-offs

4. Instruction coverage
- ALU instructions with register operands
- Multiplication/Division instructions with register operands
- Shift instructions with register operands
- ALU instructions involving constant operands
- Branch instructions
- Jump instructions
- Memory read and write instructions

5. Description of datapath, control signals and control signals' logic

Note: The marks in points 2, 4 and 5 will depend on the simplicity of the hardware implementation needed to support the designed instruction set. If the hardware needed involves circuits with large delay, then the processor throughput decreases. That is considered as a serious demerit.

Attachment:- 8.pdf

Reference no: EM131226555

Questions Cloud

How did the societal changes of the period affect the group : Explain at least three causes of the Great Depression and the specific, corresponding New Deal programs meant to respond to those causes. How did the societal changes of this period affect the group that you chose for your Final Project?
How will cloud computing affect : Think of a current process at work where hardware and software need to be purchased, stored, upgraded now. How will Cloud Computing affect, if at all, that process? What other IT/IS megatrends would need to be taken into consideration?
What you consider to be emerging patterns in continent story : Conclude your essay by pointing to what you consider to be emerging patterns in the continent's story. Support your arguments with substantial historical evidence.
What events or issues contributed to the event happening : Who were the main people involved in the event? What specific group of people was involved? Are there specific individuals associated with this event? What events or issues contributed to this event happening?
Implementation of your design in assignments : ELEC3720 - Programmable logic design - demonstrate the hardware implementation of your design in Assignments - Design the instruction set architecture of a single cycle processor with 18 bit wide instructions, and data-word width of your choice.
What were some successful outcomes of the project : Companies have historically looked at technical skills, but more and more business managers are realizing that the absence of good "people" skills tends to cripple projects. What does the scholarly literature suggest are three critically important..
Write a summary essay about hockey concussions : Write a "summary/response" essay that incorporates a summary of an article.- The paper is about hockey concussions.
Able to trade the goods produced for goods desired : Regan and Lipskey discuss the sources of gains from international trade in Chapter 33 of their book. Ragan (2014) states, “Specialization and trade go hand in hand because there is no incentive to achieve the gains from specialization without being a..
Reference to software projects and budget implications : Brooks Law, as stated here, is in reference to software projects and budget implications. However, Brooks Law might be a truism for other types of projects. When in the project would the budget issue become a concern according to Brooks Law? Please b..

Reviews

len1226555

9/30/2016 5:28:48 AM

The design of the processor is also included. language for the processor is in system verilog.The marks in points 2, 4 and 5 will depend on the simplicity of the hardware implementation needed to support the designed instruction set. If the hardware needed involves circuits with large delay, then the processor throughput decreases. That is considered as a serious demerit.

Write a Review

Other Engineering Questions & Answers

  Dryer the final temperature of the air

Use the psychometric chart to determine the absolute humidity and humid volume of the entering air, and then use the results to determine the flow rate of dry air (kg/mm) through the dryer the final temperature of the air, and the rate (kg/mm) at ..

  If the coil terminals are energized for 8 seconds how long

A delay-on (TON) relay has a preset of 5.0 seconds. If the coil terminals are energized for8.0 seconds, how long will its contacts be actuated? If a delay-on (TON) relay with a preset of 5.0 seconds is energized for 3 seconds, how longwill its contac..

  Design a system to improve the safety

Consider the hazards of performing maintenance on machinery and design a system to improve the safety when doing the maintenance function.

  Specify predecessor-successor linkages between two tasks

What is another way to specify predecessor-successor linkages between two tasks? Describe AND provide a screen shot of the steps

  How websites are blocked

How websites are blocked? And how its contents are identified?

  Normally biased bjt circuit comprising of a bjt

To analyze a normally biased BJT circuit comprising of a BJT and resistors and measure the circuit voltages between emitter, common, base, and collector.

  Traffic management plan for a four-lane

Write a report to develop a worksite traffic management plan for a four-lane two-way arterial road. In you plan include: The eight steps of worksite traffic management processes. The location of lead vehicle, work vehicle, shadow vehicle and tall veh..

  Explain three main parts of the arduino sketch program

Explain three main parts of the Arduino sketch program. Detail what role each part of the programs play? The voltage divider network circuit aids in what main purpose in input and output circuits.

  Players and spectators wear the same clothes

Consider a tennis match in cold weather where both players and spectators wear the same clothes. Which group of people will feel colder? Why?

  Discuss the type of membrane and the configuration used

discuss the type of membrane and the configuration used. In your discussion, include major associated equipment in the separation process circuit, and the key operational parameters that need to be controlled and monitored

  Determine the length ratios and shear stress ratio

Determine the required model sediment sizes, the length ratios, the water discharge per unit width ratio, and the shear stress ratio.

  Make a power point presentation on occurrence of faults in

Make a power point presentation with the topics suggested below Occurrence of faults in power system, Types of Faults, Symmetrical Fault Analysis, Unsymmetrical Fault Analysis

Free Assignment Quote

Assured A++ Grade

Get guaranteed satisfaction & time on delivery in every assignment order you paid with us! We ensure premium quality solution document along with free turntin report!

All rights reserved! Copyrights ©2019-2020 ExpertsMind IT Educational Pvt Ltd